欢迎来到论文网! 识人者智,自知者明,通过生日认识自己! 生日公历:
网站地图 | Tags标签 | RSS
论文网 论文网8200余万篇毕业论文、各种论文格式和论文范文以及9千多种期刊杂志的论文征稿及论文投稿信息,是论文写作、论文投稿和论文发表的论文参考网站,也是科研人员论文检测和发表论文的理想平台。lunwenf@yeah.net。
您当前的位置:首页 > 科技论文 > 机电一体化论文

基于MAX+plusII的LED显示屏设计_软件编程

时间:2012-03-07  作者:秩名

论文导读::LED显示屏已经被广泛的用在地铁、商场、车站、超市等公共场所,给人们的生活带来了很多方便。本文基于MAX+plusII的基础上设计了LED显示屏,通过软件编程实现数据的控制,仿真结果显示,该设计系统成本低、工作可靠,有一定的应用价值。
论文关键词:显示屏,LED,软件编程

 

1、应用背景

LED显示屏在生活中的应用非常普遍软件编程,医院、车站等等,很多的公共场合里都是用LED显示软件编程,给人们的生活带来了很多的方便。LED有很大的应用能力和潜力,研究如何更好的使用它有很重要的意义。

2、设计思想[1]

本设计使用使用超高亮度LED软件编程,制作成显示屏,通过软件编程的方法来实现行扫描和列的数据传输论文开题报告范文。从组成上来说可分为三个部分来分别实现。分别是:显示部分、控制部分和接口部分。如图1所示。显示部分由超高亮度LED制作。控制部分有两部分组成软件编程,分别是扫描控制和数据控制。此部分由CPLD芯片来完成论文开题报告范文。用行扫描和列输出来实现,每次扫描一行。

显示屏

图1 系统结构图

3、部分程序清单[2][3]

扫描部分:

libraryIEEE;

useIEEE.std_logic_1164.all;

useIEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

注:宝鸡文理学院重点项目ZK0936

entityscan is

port(clk :in std_logic;

data_o: out std_logic_vector(7 downto 0);

scanline: out std_logic_vector(7 downto 0)

);

……

行扫描部分,每次只扫描一行:

……

process (int)

begin

case int is

when 0 =>scanline <= "10000000";

when 1 => scanline <= "01000000";

when 2 => scanline <= "00100000";

when 3 => scanline <= "00010000";

when 4 =>scanline <= "00001000";

when 5 => scanline <= "00000100";

when 6 => scanline <= "00000010";

when 7 => scanline <= "00000001";

when others => scanline <="00000000";

end case;

endprocess;

……

4、仿真结果[4]

利用MAX+plusⅡ仿真结果如图2所示。

显示屏

图2 仿真结果

5、 结论

和其他设计系统相比,该系统设计简单,性能稳定。有一定的应用价值。


参考文献:
[1]赵淑晶,周延颖,杨斌.基于CPLD的双屏LCD时序控制器设计.微计算机信息[J],2008年24卷12-2期:191-195.
[2]李丰林.VHDL语言在PLD编程中的应用.淮海工学院学报[J],2002年11卷1期:23-26.
[3]王科鸣,许乐平.VHDL语言在数字系统设计中的应用.固体电子学研究与进展[J],1995年15卷2期157-163.
[4]赵明富,田泽正,王超等.CPLD在LED广告屏中的应用研究.河南科技学院学报(自然科学版)[J],2008年36卷4期:65-70.
 

 

查看相关论文专题
加入收藏  打印本文
上一篇论文:BP神经网络在某测试设备故障诊断中的应用_测试系统
下一篇论文:ZigBee无线模块在电能管理系统中的应用_通信模块
科技论文分类
科技小论文 数学建模论文
数学论文 节能减排论文
数学小论文 低碳生活论文
物理论文 建筑工程论文
网站设计论文 农业论文
图书情报 环境保护论文
计算机论文 化学论文
机电一体化论文 生物论文
网络安全论文 机械论文
水利论文 地质论文
交通论文
相关机电一体化论文
最新机电一体化论文
读者推荐的机电一体化论文